검색 전체 메뉴
PDF
맨 위로
OA 학술지
Simulation Research on the Thermal Effects in Dipolar Illuminated Lithography
  • 비영리 CC BY-NC
  • 비영리 CC BY-NC
ABSTRACT
Simulation Research on the Thermal Effects in Dipolar Illuminated Lithography
KEYWORD
Thermal aberrations , Lithography , Integrated optomechanical analysis
  • I. INTRODUCTION

    For a high precision DUV (Deep Ultraviolet, 193 nm) lithography device, the qualification of wavefront aberration is rigorous. To diminish or eliminate the influence of gravity, the lens elements are finely designed before fabrication to avoid surface deformation. Lenses are deliberately supported in kinematic ways to decrease the impact of mechanical stress [1-3]. To correct assembling errors like tilt and decentration, adjusting mechanisms are employed, which are also helpful for the compensation of low order rotational symmetrical aberrations, which indicate piston Z1, defocus Z4 and primary spherical Z9. The projection objective always performs well at the beginning, during the working hours, due to the fact that all materials have good absorption at such a short wavelength, the energy absorbed by the projection lens causes significant rise in temperature, which brings surface deformation and refractive index change, and this produces so called thermal aberration [4-6]. While as time goes on, the heat accumulates in the illuminated zone, thermal aberrations become dominant to make the optical performance bad. Active optics is introduced to compensate time-changing thermal aberrations, especially the non-rotationally symmetrical astigmatism which mainly appears in dipole illumination mode. In this type of illumination mode, the lens elements present nonuniform distributed temperature which causes non-rotationally symmetrical deformation and inhomogeneous refractive index, thus time-changing non-rotational symmetrical aberrations like astigmatism occur [7]. Active real-time dynamic compensation is the only means to compensate this type of aberration.

    The prediction of thermal aberrations plays a significant role in the real-time compensation of thermal aberrations. Prediction of thermal aberrations based on plentiful experimental or realistic data is receivable [8], while for a newly investigated device, simulation results are indispensable to offer guides for fabricating and compensation design.

    In Section 2, the analysis approach is introduced and simulations are carried out. In Section 3, thermal aberrations induced by refractive index change, surface deformation and stress are studied. Meanwhile, the influence of thermal effects on the imaging of dense lines is discussed.

    II. SIMULATION ANALYSIS

    Thermal aberration in lithography projection objective is the synthesis of refractive index change, surface thermal deformation and thermal stress. We probe the thermal effect by integrated optomechanical analysis and the flow chart is depicted below in Fig. 1.

    At the beginning, the irradiance analysis is carried out to get the irradiance distribution in the system by ray tracing, and then interpolation is made to get the nodal irradiance data in the FEA model. The projection lens we use for simulation is a dioptric system consisting of 27 lenses working at 193 nm with magnification of −0.25×. This process is handled in the software Lighttools and the corresponding parameters are listed in Table 1.

    [TABLE 1.] Illumination parameters

    label

    Illumination parameters

    The overall optics layout is present in Fig. 2 and lens 20 is the pupil lens in the system. The diffraction efficiency is calculated based on the diffraction theory [9]. The irradiance distribution on the pupil plane by ray tracing is also pictured in Fig. 2.

    In the FEA thermal analysis, the heat source is the energy absorbed by the lens body illuminated by the laser, and the heat production can be calculated with nodal irradiance data and material absorptivity. In general, all of the three kinds of thermal boundary conditions: convection heat exchange, heat flow boundary and temperature boundary exist in the thermal analysis model. As the system is filled with N2, convection or heat exchange happens on the lens surfaces. Besides, the lenses are coated with anti-reflection films which absorb part of the laser power too. The heat accumulated in the films would transfer to the lens body and this kind of thermal interaction should be considered too. The circulating water cooling system is too complicated to model, while referring to the conclusion from reference [10], the contact zones or interfaces between lens and mechanical supporting devices almost keep steady temperature during the whole exposure or imaging process. Thus, in the simulation all the nodes located in these zones are set as a constant temperature boundary of 22℃. For convenience, the lens supporting method we chose is 6-point side supporting, from the results in the literature [11]. The supporting mechanisms are uniformly located surrounding the lens from the side, and each interface between the supporting structure and the lens has an angular dimension of 10°. The main parameters for thermal analysis are listed below in Table 2 [12].

    [TABLE 2.] Parameters for FEA

    label

    Parameters for FEA

    Three processes are involved in the exposure process: die loop, wafer loop and lot loop. For instance, the flow chart of the wafer loop is portrayed in Fig. 3. Considering the simulation time and computer physical memory, we take the wafer loop, and 250 wafers are exposed.

    III. THERMAL ABERRATION

    From the simulation results in Section 2, we have attained the nodal temperature. Thermal deformation and stress data are also obtained by structural analysis. The contribution of aberrations from all the three parts will be discussed.

       3.1. Refractive Index Change Induced Aberrations

    The refractive index changes with temperature as expressed

    image

    Where Tr means the reference temperature, nr means the refractive index at Tr, and α=2.05×10−5/K is the thermal coefficient. To study the thermo-OPD effect, integrated optomechanical analysis is often used by researchers (in Fig. 4) [13]. SigFit is reliable commercial integrated optomechanical analysis software with open interfaces to several FEA softwares and optical analysis softwares. By importing the nodal temperature into SigFit, the thermal OPD of each lens can be calculated and written to files which can be imported to kinds of optical analysis softwares. CODE V is a popular advanced engineering application for the design and analysis of image-forming optical systems. By importing the OPD files from SigFit, thermal aberrations of the total system are acquired (at thermal equilibrium state) as drawn in Fig. 5.

    The simulation is meant to guide the researchers to make an assessment of the magnitude of thermal effects. The results in Fig. 5 show that in the situation of dipole illumination, thermal aberrations are tremendous. At the thermal equilibrium, the system suffers from significant non-rotationally symmetrical aberrations, which indicate astigmatisms (Z5, Z12, Z21) and 4-foil aberrations (Z17 and Z28). Besides, rotationally symmetrical aberrations defocus (Z4) and spherical aberrations (Z9 and Z16) are outstanding too.

    Although the simulation by SigFit is reliable, problems are that due to the working principle of SigFit, it costs days of time to import the geometry model and nodal temperature into SigFit, which makes it inefficient to simulate the thermal aberrations of all the time points during the exposure process. Another approach studying the thermal aberrations takes the advantage of User Defined Gradient (UDG) Index module in CODE V. However, the existing several models of UDG in CODE V are all for rotationally symmetrical distributed index. For the situation of dipolar illuminated lithography, they are useless. We need to define the index distribution function, and debug it to a DLL file, thus it can be invoked by CODE V for ray tracing.

    The heat transformation model is so complex that it has no analytical solution to accurately express the temperature distribution of the lithography system. A surface temperature map of all the 27 lens elements is exhibited in Fig. 6. Referring to the characteristic of the distribution, in our previous work on the research of temperature distribution in lithography projection objective (to be published), we came up with a spatial distribution function to approximately express the temperature in the lens body of dipolar illuminated condition.

    image

    Where a, b, c, d, k, l, const., p1, p2, p3 are fitting coefficients which have clear physical significance related to illumination parameters, and dTz is the temperature increment (reference temperature is 22℃) along the optical axis (Z). The average fitting error of each lens in the system is about 10−3℃. With the temperature distribution function, the refractive index (x, y, z) can be calculated for ray tracing. The aberration distribution from CODE V is mapped in Fig. 7.

    From Fig. 7, we find that the results with the temperature distribution function we put forward have an exciting accordance with the results based on SigFit. The temperature distribution function is proved to be reliable, and owing to it the simulation time is shortened from days (by SigFit) to minutes, the time cost is reduced up to 3 orders. The growth of thermal aberrations during the exposure process is obtained and depicted in Fig. 8.

    The results in Fig. 8 reveal that thermal aberrations change with exposure time. At the beginning, the aberrations grow rapidly with high growth rate. As time goes on, the lens temperature rises, then the convection is strengthened which in turn suppresses the rise of temperature, thus the growth rate of thermal aberrations falls. At last the thermal equilibrium state is reached and thermal aberrations remain stable. 4-foil aberrations Z17 and Z28 take about half an hour to reach a stable value while astigmatisms Z5, Z12 and Z21 take about one hour.

    In addition, to verify the validation of our method with temperature distribution function, we simulate the thermal aberrations in the exposure of the same pattern of mask with conventional or traditional illumination. The temperature function of this kind of illumination is also mentioned in our previous work as

    image

    Where a, c and const. are coefficients and w(z) is the weight function. With the help of it, the thermal aberration at thermal equilibrium is obtained and the comparison with results from SigFit is mapped in Fig. 9 as a reference. Just as expected, the results show that the main terms of aberration in conventional illumination are rotationally symmetrical aberrations Z1 and Z4. While piston Z1 is inessential, we can conclude that the defocus dominates in the thermal aberrations in conventional illumination modes. The accordance of the two results in Fig. 9(a) verifies the validation of the temperature distribution function and the analysis method. Fig. 9(b) portrays the change trends of thermal aberrations with exposure time. It is necessary to point out that the simulation results may differ from the real situations, because the detailed parameters in the simulation model may be different from the reality although they are carefully chosen based on the reliable achievements of researchers. However the conclusions are acceptable and it offers the researchers an approach for the fast simulation of thermal aberrations.

       3.2. The Impact of Surface Deformation and Thermal Stress

    Surface deformation data caused by thermal expansion is fitted with Zernike polynomials which can be imported to CODE V to get the aberrations. The results are portrayed in Fig. 10. Results indicate that the deformation induced aberration terms are almost the same with the results of refractive index change. The difference is that 3-foil aberration Z10 appears due to the lens supporting method and it is about the magnitude of 0.001 λ. Primary astigmatism Z5 is about 0.03 λ, and primary 4-foil aberration Z17 is about 0.01 λ. Comparing with the results in Fig. 7, the impact of surface deformation is about 2% of refractive index change. Obviously, it is ignorable in the simulation aiming at evaluating the magnitude of thermal aberrations.

    According to the theory of elasto-optical effect, the stress in the lens body would cause birefringence which produces an additional OPD to the wavefront [14]

    image

    Where q11 and q12 are stress-optical coefficients depending on materials, σx, σy, and σz are the principle stresses, and li is the path length of the small element i along the optical path. Again, SigFit is used to pry into the impact of thermal stress and the additional aberrations are pictured in Fig. 11. Conclusions are that, Z1 is relatively stronger, reaching the magnitude of 0.01 λ, non-rotationally symmetrical terms such as astigmatisms and 4-foil aberrations are sufficient small with the level of 0.001 λ. Comparing with the results in Fig. 7, the non-rotationally symmetrical terms are less than 1% of the ones induced by refractive index change, insignificant enough to be ignored.

       3.3. The Imaging of Dense Lines

    To determine the influence of thermal aberrations on the optical performance, dense lines with CD of 90 nm are simulated to be imaged under dipole illumination. Samples are taken at several time points during the exposure process. Figure 12 maps the images at different times.

    It is easy to find that as time goes on, the image becomes fuzzy. At the beginning, the lines are clear with good contrast. After the first wafer is exposed, the edge of each line is a little fuzzy but still distinguishable. One minute later (in Fig. 12(c)), the contrast becomes worse, and each line overlaps a little with the neighbors. At the second minute (in Fig. 12(d)), the lines located in the center are still distinguishable although the contrast is disappointing. While the lines of the outside are indiscernible with terrible contrast. When the system reaches thermal equilibrium (in Fig. 12(e) and (f)), the image is misty, one can hardly distinguish the lines and the contrast is just a disaster. All the images share a common characteristic that the lines in the center are clearer than the lines located near the edge. This phenomenon mainly owes to the fact that astigmatisms dominate among the thermal aberrations. The results have revealed the significant impact of thermal aberrations by lens heating, meanwhile, the dynamic compensation of thermal aberration is essential and indispensable.

    IV. CONCLUSIONS

    The prediction of thermal effects in lithography projection objective plays a significant role in the real-time dynamic compensation of thermal aberrations. In the situation of dipole illumination in DUV lithography, the temperature distribution is non-rotationally symmetrical, which would cause time-changing astigmatisms. With integrated optomechanical analysis by SigFit, the paper probes into the thermal aberrations induced by refractive index change, surface deformation and thermal stress. To improve the efficiency of thermal aberration simulation, we put forward a lens temperature distribution function. Owing to the function, we successfully shortened the time of thermal aberrations simulation from days (by SigFit) to minutes, and comparison shows that the thermal aberrations’ results from the two ways match well, which has certified the effectiveness of the temperature distribution. Comparing with the impact of refractive index change, the influences of surface deformation and thermal stress are about 3% and 1% respectively, which indicates that thermal aberrations are mainly caused by the change of refractive index. Among the thermal aberrations, nonrotational symmetrical components astigmatisms and 4-foil aberrations dominate in the dipolar illuminated lithography projection objective. The system takes about 1 hour to reach thermal equilibrium, and the primary astigmatism Z5 reaches about 1.7 λ. The results of the imaging of dense lines indicate that the contrast get worse as time goes on, which reveals the thermal effects on the performance of projection objective. Moreover, the paper has offered an approach for the fast simulation of thermal effects of lithography projection objective.

참고문헌
  • 1. Liu C., Huang W., Shi Z., Xu W. (2013) “Wavefront aberration compensation of projection lens using clocking lens elements,” [Appl. Opt.] Vol.52 P.5398-5401 google cross ref
  • 2. Ni M. Y., Gong Y. (2012) “Design and analysis of kinematic lens positioning structure in lithographic projection objective,” [Chinese Optics] Vol.5 P.476-484 google
  • 3. Zhao L., Gong Y. (2012) “Design and analysis for high-precision lens support structure of objective lens for lithography,” [Acta Optica Sinica] Vol.9 P.217-222 google
  • 4. Nakashima T., Ohmura Y., Ogata T., Uehara Y., Nishinaga H., Matsuyama T. (2008) “Thermal aberration control in projection lens,” [Proc. SPIE] Vol.6924 P.69241V-1-69241V-9 google
  • 5. Yu X. F., Ni M. Y., Zhang W., Sui Y. X., Qin S. (2014) “Analysis and experiments of the thermal-optical performance for a kinematically mounted lens element,” [Appl. Opt.] Vol.53 P.4079-4084 google cross ref
  • 6. Uehara Y., Matsuyama T., Nakashima T., Ohmura Y., Ogata T., Suzuki K. (2007) “Thermal aberration control for low-k1 lithography,” [Proc. SPIE] Vol.6520 P.65202V-1-65202V-11 google
  • 7. Chen H., Yang H. J., Yu X. F., Shi Z. G. (2013) “Simulated and experimental study of laser-beam-induced thermal aberrations in precision optical systems,” [Appl. Opt.] Vol.52 P.4370-4376 google cross ref
  • 8. Fukuhara K., Mimotogi A., Kono T., Aoyama H., Ogata T., Kita N. (2013) “Solutions with precise prediction for thermal aberration error in low-k1 immersion lithography,” [Proc. SPIE] Vol.8683 P.86830U-1-86830U-7 google
  • 9. Shang W. L., Yang J. M., Zhao Y., Zhu T., Xiong G. (2011) “General model of transmission grating diffraction efficiency,” [Acta Physica Sinica] Vol.60 P.392-397 google
  • 10. Qin S., Gong Y., Yuan W. Q., Yang H. J. (2013) “High precision temperature control for projection lens with long time thermal response constant,” [Optics and Precision Engineering] Vol.21 P.108-114 google cross ref
  • 11. Qin S., Gong Y., Yuan W. Q., Yang H. J. (2014) “A dissertation submitted in partial fulfillment of the requirements for the degree of doctor of philosophy in engineering (in Chinese),” P.39 google
  • 12. HPFS Fused Silica Standard Grade Semiconductor Optics google
  • 13. SigFit Reference Manual P.127 google
  • 14. Doyle K. B., Genberg V. L., Michels G. J. (2002) “Numerical methods to compute optical errors due to stress birefringence,” [Proc. SPIE] Vol.34 P.34-42 google
OAK XML 통계
이미지 / 테이블
  • [ Fig. 1. ]  Flow chart of integrated optomechanical analysis.
    Flow chart of integrated optomechanical analysis.
  • [ TABLE 1. ]  Illumination parameters
    Illumination parameters
  • [ FIG. 2. ]  The optics layout and irradiance profile on the pupil plane.
    The optics layout and irradiance profile on the pupil plane.
  • [ TABLE 2. ]  Parameters for FEA
    Parameters for FEA
  • [ FIG. 3. ]  Flow chart of wafer loop process.
    Flow chart of wafer loop process.
  • [ ] 
  • [ FIG. 4. ]  Analysis of OPD with integration method. OPD is calculated as summation of each small sub-path along the integration path between the entrance and exit aperture.
    Analysis of OPD with integration method. OPD is calculated as summation of each small sub-path along the integration path between the entrance and exit aperture.
  • [ FIG. 5. ]  Simulated thermal aberration distribution based on SigFit.
    Simulated thermal aberration distribution based on SigFit.
  • [ FIG. 6. ]  Surface temperature distribution of all 27 lenses.
    Surface temperature distribution of all 27 lenses.
  • [ ] 
  • [ FIG. 7. ]  Distribution of thermal aberrations under dipole illumination.
    Distribution of thermal aberrations under dipole illumination.
  • [ FIG. 8. ]  Change trends of thermal aberrations under dipole illumination.
    Change trends of thermal aberrations under dipole illumination.
  • [ ] 
  • [ FIG. 9. ]  Simulation results under conventional illumination. (a) Comparison of the two approaches, (b) Change trends of thermal aberrations.
    Simulation results under conventional illumination. (a) Comparison of the two approaches, (b) Change trends of thermal aberrations.
  • [ FIG. 10. ]  The impact of surface deformation.
    The impact of surface deformation.
  • [ ] 
  • [ FIG. 11. ]  The impact of thermal stress.
    The impact of thermal stress.
  • [ FIG. 12. ]  The imaging of dense lines. The line width is 90 nm and period is 180 nm. Rotational symmetrical terms Z0, Z1, Z4 and Z9 are wiped off. From (a) to (f), the exposure time is t = 0, t = 20 s, t = 1 min, t = 2 min, t = 60 min, t = 120 min.
    The imaging of dense lines. The line width is 90 nm and period is 180 nm. Rotational symmetrical terms Z0, Z1, Z4 and Z9 are wiped off. From (a) to (f), the exposure time is t = 0, t = 20 s, t = 1 min, t = 2 min, t = 60 min, t = 120 min.
(우)06579 서울시 서초구 반포대로 201(반포동)
Tel. 02-537-6389 | Fax. 02-590-0571 | 문의 : oak2014@korea.kr
Copyright(c) National Library of Korea. All rights reserved.