검색 전체 메뉴
PDF
맨 위로
OA 학술지
Device Coupling Effects of Monolithic 3D Inverters
  • 비영리 CC BY-NC
  • 비영리 CC BY-NC
ABSTRACT
Device Coupling Effects of Monolithic 3D Inverters
KEYWORD
3D integrated circuit (3D IC) , Coupling , Monolithic 3D IC , Parasitic extraction , Threshold voltage
참고문헌
  • 1. ITRS reports [Internet] google
  • 2. Banerjee K., Souri S. J., Kapur P., Saraswat K. C. 2001 [Proceedings of the IEEE] Vol.89 P.602-633
  • 3. Batude P., Ernst T., Arcamone J., Arndt G., Coudrain P., Gaillardon P. E. 2012 “3-D sequential integration: a key enabling technology for heterogeneous co-integration of new function with CMOS,” [IEEE Journal on Emerging and Selected Topics in Circuits and Systems] Vol.2 P.714-722 google cross ref
  • 4. Naito T., Ishida T., Onoduka T., Nishigoori M., Nakayama T., Ueno Y. 2010 “World’s first monolithic 3-D-FPGA with TFT SRAM over 90 nm 9 layer Cu CMOS,” [in Proceedings of 2010 Symposium on VLSI Technology (VLSIT)] P.219-220 google
  • 5. Jung S. M., Lim H., Kwak K. H., Kim K. 2010 “A 500-MHz DDR high-performance 72-Mb 3-D SRAM fabricated with laser-induced epitaxial c-Si growth technology for a stand-alone and embedded memory applications,” [IEEE Transactions on Electron Devices] Vol.57 P.474-481 google cross ref
  • 6. Yang C. C., Chen S. H., Shieh J. M., Huang W. H., Hsieh T. Y., Shen C. H. 2013 “Record-high 121/62 μA/μm on-currents 3D stacked epi-like Si FETs with and without metal back gate,” [in Proceedings of IEEE International Electron Device Meeting (IEDM)] google
  • 7. Shulaker M. M., Wu T. F., Pal A., Zhao L., Nishi Y., Saraswat K., Wong H. S. P., Mitra S. 2014 “Monolithic 3D Integration of logic and memory: carbon nanotube FETs, resistive RAM, and silicon FETs,” [in Proceedings of IEEE International Electron Device Meeting (IEDM)] google
  • 8. Fenouillet-Beranger C., Mathieu B., Previtali B., Samson M. P., Rambal N., Benevent V. 2014 “New insights on bottom layer thermal stability and laser annealing promises for high performance 3D VLSI,” [in Proceedings of IEEE International Electron Device Meeting (IEDM)] google
  • 9. Lee Y. J., Lim S. K. 2013 “Ultrahigh density logic designs using monolithic 3-D integration,” [IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems] Vol.32 P.1892-1905 google cross ref
  • 10. Panth S., Samal S., Yu Y. S., Lim S. K. 2014 “Design challenges and solutions for ultra-high-density monolithic 3D ICs,” [Journal of Information and Communication Convergence Engineering] Vol.12 P.186-192 google cross ref
  • 11. Bobba S., Chakraborty A., Thomas O., Batude P., Micheli G. 2013 “Cell transformations and physical design techniques for 3D monolithic integrated circuits,” [ACM Journal on Emerging Technologies in Computing Systems] Vol.9 google cross ref
  • 12. Batude P., Jaud M. A., Thomas O., Clavellier L., Pouydebasque A., Vinet M., Deleonibus S., Amara A. 2008 “3D CMOS integration: introduction of dynamic coupling and application to compact and robust 4T SRAM,” [in Proceedings of I IEEE International Conference on Integrated Circuit Design and Technology and Tutorial (ICICDT)] P.281-284 google
  • 13. Planes N., Weber O., Barral V., Haendler S., Noblet D., Croain D. 2012 “28nm FDSOI technology platform for high-speed low-voltage digital applications,” [in Proceedings of 2010 Symposium on VLSI Technology (VLSIT)] P.133-135 google
  • 14. 2005 ATLAS II Framework (ver. 5.10.2.R) google
OAK XML 통계
이미지 / 테이블
  • [ Fig. 1. ]  Schematics of two types of monolithic 3D inverter cells. (a) 3D schematic of Structure A with a metal layer in the bottom tier, (b) 3D schematic of Structure B without the metal layer, and (c) cross-section of A-A′ in Structure A shown in Fig. 1(a). ML, C, G, D, and S denote the metal layer, contact, gate, drain, and source, respectively. Materials in the structure and doping concentration in the silicon body are denoted by color.
    Schematics of two types of monolithic 3D inverter cells. (a) 3D schematic of Structure A with a metal layer in the bottom tier, (b) 3D schematic of Structure B without the metal layer, and (c) cross-section of A-A′ in Structure A shown in Fig. 1(a). ML, C, G, D, and S denote the metal layer, contact, gate, drain, and source, respectively. Materials in the structure and doping concentration in the silicon body are denoted by color.
  • [ Fig. 2. ]  (a) Inds-Vngs characteristics (linear and logarithmic), (b) transconductance (gm = dInds/dVngs), and (c) capacitance (Cngng, Cnsng, Cdng, Cpgng) of the top transistor in the M3INV cells (Structure A and B) as shown in Fig. 1(a) and (b). Symbols and lines denote Vpgs = 0 and -1 V, respectively. Empty and filled symbols denote Structure A and B, respectively. Here, Lg = 30 nm, TSi = 6 nm, Tox = 1 nm, TILD = 10 nm, Nd (Na) = 1015 cm-3, and Vds = 0.1 V. f = 1 MHz is applied for AC characterization. The subscripts nds, ngs, pgs, ngng, nsng, dng, and pgng denote drain-to-source of the NMOSFET, gate-to-source of the NMOSFET, gate-to-source of the PMOSFET, gate-to-gate of the NMOSFET, source-to-gate of the NMOSFET, drain-to-gate of the NMOSFET, gate of the PMOSFET-to-gate of the NMOSFET, respectively.
    (a) Inds-Vngs characteristics (linear and logarithmic), (b) transconductance (gm = dInds/dVngs), and (c) capacitance (Cngng, Cnsng, Cdng, Cpgng) of the top transistor in the M3INV cells (Structure A and B) as shown in Fig. 1(a) and (b). Symbols and lines denote Vpgs = 0 and -1 V, respectively. Empty and filled symbols denote Structure A and B, respectively. Here, Lg = 30 nm, TSi = 6 nm, Tox = 1 nm, TILD = 10 nm, Nd (Na) = 1015 cm-3, and Vds = 0.1 V. f = 1 MHz is applied for AC characterization. The subscripts nds, ngs, pgs, ngng, nsng, dng, and pgng denote drain-to-source of the NMOSFET, gate-to-source of the NMOSFET, gate-to-source of the PMOSFET, gate-to-gate of the NMOSFET, source-to-gate of the NMOSFET, drain-to-gate of the NMOSFET, gate of the PMOSFET-to-gate of the NMOSFET, respectively.
  • [ Fig. 3. ]  (a) Threshold voltage shift and (b) voltage shift of transconductance (gm) and transcapacitance (Cngng) of the top transistor in the M3INV cell (Structure B) as shown in Fig. 1(b). Here, Nd (Na) = 1015 cm-3 and Vds = 0.1 V. Frequency f = 1 MHz is applied for AC characterization.
    (a) Threshold voltage shift and (b) voltage shift of transconductance (gm) and transcapacitance (Cngng) of the top transistor in the M3INV cell (Structure B) as shown in Fig. 1(b). Here, Nd (Na) = 1015 cm-3 and Vds = 0.1 V. Frequency f = 1 MHz is applied for AC characterization.
  • [ Fig. 4. ]  Threshold voltage shift of the top transistor in the M3INV cell (Structure B) as shown in Fig. 1(b). (a) Material dependence in IML at TILD = 10 and 50 nm, and (b) doping concentration dependence in the silicon channel at TILD = 10 nm. Here Vds = 0.1 V.
    Threshold voltage shift of the top transistor in the M3INV cell (Structure B) as shown in Fig. 1(b). (a) Material dependence in IML at TILD = 10 and 50 nm, and (b) doping concentration dependence in the silicon channel at TILD = 10 nm. Here Vds = 0.1 V.
  • [ Fig. 5. ]  Threshold voltage shift versus side-wall length of the top transistor in the M3INV cell at different TILDs. Here Lg = 30 nm and Vds = 0.1 V.
    Threshold voltage shift versus side-wall length of the top transistor in the M3INV cell at different TILDs. Here Lg = 30 nm and Vds = 0.1 V.
(우)06579 서울시 서초구 반포대로 201(반포동)
Tel. 02-537-6389 | Fax. 02-590-0571 | 문의 : oak2014@korea.kr
Copyright(c) National Library of Korea. All rights reserved.